CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sigma delta

搜索资源列表

  1. delsig

    0下载:
  2. 做delta-sigma adc and dac 的工具箱-a tool box of delta-sigma adc and dac design
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:757039
    • 提供者:shumon
  1. delsig

    0下载:
  2. delta sigma AD转换模型的matlab工具箱,包含调制,滤波,计算信噪比等多多方面内容,非常全面。用之进行相关研究,事半功倍。-delta sigma is the AD conversion models matlab toolbox, including modulation, filtering, calculation of signal to noise ratio, etc. a lot of aspects, very comprehensive. Used more
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1041383
    • 提供者:温金鑫
  1. SDtoolbox

    0下载:
  2. delta-sigma 设计工具箱,可以进行调制器设计,数字滤波器设计等-design-box of delta-sigma ADC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:773473
    • 提供者:张永来
  1. Verilog-Code

    1下载:
  2. Verilog source code by James Patchell: - Delta Sigma Modulator for doing Digital->Analog Conversion - Aquad-bquad phase detector - Uart Reciever - Uart Transmitter - One shot
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:6853
    • 提供者:happyuser
  1. Sim5th

    1下载:
  2. 5阶delta-sigma Matlab仿真-Fifth-order delta-sigma Matlab simulation
  3. 所属分类:matlab

    • 发布日期:2017-12-07
    • 文件大小:1306
    • 提供者:小许
  1. delta-sigma-DAC

    0下载:
  2. 根据FPGA的∑-Δ D/A转换器的设计与实现策略,∑-Δ DAC的内部仅由2个10位的二进制加法器,1个10位的锁存器和一个D触发器组成,用FPGA实现时只需耗费极少的逻辑资源,即使用最小的FPGA也能实现。这是∑-Δ DAC实现的verilog语言-According to the FPGA Σ-Δ D/A converter design and implementation strategies, Σ-Δ DAC' s internal only by the two 10-bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1333600
    • 提供者:王凌
  1. IQ(good)

    0下载:
  2. 通信专业正交下变频详细研究,很实用,理论通俗易懂,学习解调的同学可以好好研读-Sampling and Multirate Techniques for Complex and Bandpass Signals Complex signals and systems • Sampling of complex signals • Frequency translations using mixing and multirate operations 
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:1009972
    • 提供者:630053570
  1. jietiao

    1下载:
  2. 提供一个matlab程序,功能是将被Delta-Sigma调制器调制过的信号解调出来。-Provide a matlab procedures, functions will be Delta-Sigma modulator modulated signal demodulated.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:651
    • 提供者:紫熙耘
  1. one

    1下载:
  2. 上传一个将正弦函数通过Delta-Sigma调节器转换成正负一的脉冲信号。并分别激励同一系统,得到良好的一致输出-Upload a sinusoidal function by Delta-Sigma modulator converts a signal of positive and negative pulse. And separately driven in the same system, to obtain a good coincidence output
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:809
    • 提供者:紫熙耘
  1. ak5386

    0下载:
  2. ALSA SoC driver for Asahi Kasei AK5386 Single-ended 24-Bit 192kHz delta-sigma ADC.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-23
    • 文件大小:1732
    • 提供者:tilonvou
  1. Delta_sigma_PA

    0下载:
  2. A simulation of delta sigma modulator for digital power amplifier applications.
  3. 所属分类:matlab

    • 发布日期:2017-04-26
    • 文件大小:49611
    • 提供者:Omer
  1. delsig

    1下载:
  2. matlab code for Delta Sigma Toolbox
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:755923
    • 提供者:sswtechnology
  1. paper4

    0下载:
  2. Using Delta-Sigma Modulators in Visible Light OFDM Systems
  3. 所属分类:Communication

    • 发布日期:2017-05-03
    • 文件大小:526410
    • 提供者:li
  1. data-integrity

    0下载:
  2. Asahi Kasei AK5386 Single-ended 24-Bit 192kHz delta-sigma ADC.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-15
    • 文件大小:6759
    • 提供者:jacrjb
  1. hermes_rid

    0下载:
  2. AK5386 Single-ended 24-Bit 192kHz delta-sigma ADC.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:1995
    • 提供者:hrhgkj
  1. LTC2440_1

    0下载:
  2. 一款具有 5ppm INL 和 5μV 偏移的高速 24 位无延迟增量累加 (No Latency ΔΣTM) ADC LTC2440的源代码-A 5ppm INL and 5 V high speed 24 bit offset without delay increment accumulation (No Latency TM ADC LTC2440 delta sigma) source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1313
    • 提供者:黄亚婷
  1. Accelerometer_AIs_RT

    0下载:
  2. cRIO FPGA example with FIFOs. Demonstration of fast data streaming through fifo. The FPGA Templates section has one template for Delta Sigma based modules and one template for SAR based modules. Under the FPGA target you will also find the DMA Channe
  3. 所属分类:LabView

    • 发布日期:2017-05-01
    • 文件大小:317743
    • 提供者:dado_2017
  1. eachpart

    0下载:
  2. Simulink delta sigma modulator- each stage output
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:14976
    • 提供者:tina
  1. firstorder

    0下载:
  2. delta sigma modulator-first order
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:13223
    • 提供者:tina
  1. Best-of-Bakers-Best-(precision-data-converters).z

    0下载:
  2. Data converters bridge the space between the analog and digital domains in your circuits. This feat is done with analog-to-digital and digital-to-analog converters. With this book chapter, the definition of delta-sigma analog-to-digital converter
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1258848
    • 提供者:zzz
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com